Диплом, курсовая, контрольная работа
Помощь в написании студенческих работ

Технологические процессы и реакторы плазмохимического травления микроструктур элементов СБИС

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

У33 277), «Плазма- 150А» «Разработка и изготовление установки удаления фоторезиста для технологии СБИС субмикронного уровня», проводимой в рамках совместной российско-белорусской научно-технической программы «Создание и серийное производство автоматизированных систем управления бортовой радиолокационной аппаратуры, изделий бытовой радиои электротехники», подпрограмма «Разработка и освоение серий… Читать ещё >

Содержание

  • ГЛАВА 1. ФИЗИКО-ХИМИЧЕСКИЕ ОСОБЕННОСТИ ПРОЦЕССОВ ПЛАЗМОХИМИЧЕСКОГО ТРАВЛЕНИЯ. И
    • 1. 1. Анизотропное травление
    • 1. 2. Удаление и травление фоторезиста
    • 1. 3. Влияние плазменных обработок на зарядовые характеристики структур
    • 1. 4. Безэлектродный разряд низкого давления
  • ГЛАВА 2. ПЛАЗМОХИМИЧЕСКОЕ УДАЛЕНИЕ ФОТОРЕЗИСТА ВНЕ ЗОНЫ ЛОКАЛИЗАЦИИ РАЗРЯДА
    • 2. 1. Особенности ПХУ фоторезиста в системах с индивидуальной обработкой пластин
    • 2. 2. Исследование характера локализации плазмы при высоком давлении
    • 2. 3. Разработка реактора высокого давления для ПХУ фоторезиста с пластин большого диаметра
  • ГЛАВА 3. РЕАКТОР ВЫСОКОПЛОТНОЙ ПЛАЗМЫ И
  • ТЕХНОЛОГИЧЕСКИЕ ПРОЦЕССЫ РЕАЛИЗУЕМЫЕ В НЕМ
    • 3. 1. Исследование ВЧ-разряда низкого давления в системе с индукторным возбуждением
    • 3. 2. Оптимизация технологического процесса ПХУ фоторезиста в реакторе высокоплотной плазмы
    • 3. 3. Удаление органо — неорганических остатков после реактивно — ионного травления технологических слоев
    • 3. 4. Изотропное травление диэлектрика
  • ГЛАВА 4. РЕАКТОР И ТЕХНОЛОГИЧЕСКИЕ ПРОЦЕССЫ ИОННО -СТИМУЛИРОВАННОГО ТРАВЛЕНИЯ
    • 4. 1. Реактор с активизацией газа при низком давлении и независимым смещением на подложке
    • 4. 2. Разработка технологического процесса анизотропного травления кремния
    • 4. 3. Теоретический расчет профиля травления канавок в кремнии
    • 4. 4. Сухое проявление фоторезистивных пленок

Технологические процессы и реакторы плазмохимического травления микроструктур элементов СБИС (реферат, курсовая, диплом, контрольная)

Актуальность темы

Плазменная технология или технология сухого травления — один из новейших методов обработки полупроводниковых пластин, получивший широкое практическое применение в производстве СБИС. В настоящее время наступил новый этап в развитии плазменных методов обработки. Производство СБИС высокой степени интеграции (1М и выше), с размерами микроструктур менее 1мкм, ставит перед разработчиками новые задачи, которые невозможно решить в традиционных системах плазменной обработки. К таким задачам в первую очередь относятся:

1. Удаление фоторезиста без радиационных повреждений обрабатываемой поверхности.

Проблема заключается не столько в снятии фоторезиста, сколько в том, чтобы не повредить находящуюся под ним структуру, так как обработка полупроводниковых пластин в традиционных плазменных системах приводит к ухудшению свойств границы раздела Si-SiC>2 и пробою тонкого (<20 нм) подзатворного диэлектрика.

2. Сухое проявление фоторезиста.

Использование традиционного однослойного резиста даёт низкое качество субмикронной литографии. Одной из основных задач субмикронной литографии является достижение необходимой разрешающей способности на подложках с развитым поверхностным рельефом, когда слой резиста имеет значительные изменения по толщине. Проблема, сдерживающая широкое применение для этих целей плазменных технологий, заключается в недостаточной проработке технологических процессов и реакторных систем для их реализации.

3. Травление глубоких канавок в кремнии.

Производство СБИС с уровнем интеграции 4 М и выше требует создания микроструктур сложной архитектоники, что приводит к необходимости решения такой сложной технологической проблемы, как формирование в монокристаллическом кремнии канавок с глубиной порядка 5 -г 10 мкм и вертикальными стенками.

При повышении степени интеграции ИС и переходе к технологии формирования элементов СБИС субмикронных размеров на первое место выходят требования по снижению дефектности в обрабатываемых структурах ИС. При этом одновременно возрастают требования по повышению анизотропии и селективности при сохранении высокой скорости обработки на пластинах большого диаметра. Эти требования являются противоречивыми. Высокая анизотропия достигается направленной ионной бомбардировкой, а в существующих процессах ионы, как правило, обладают высокой энергией и вследствие распыления слоев снижают селективность травления. Кроме того, высокоэнергетическая ионная бомбардировка приводит к дефектам в обрабатываемых структурах.

Вследствие этого данная работа, направленная на поиск технических решений по созданию технологических процессов и реакторных систем плазменной обработки низкоэнергетическими химически активными частицами при расположении пластины вне зоны локализации плазмы или частицами с регулируемой энергией, является весьма актуальной для производства СБИС.

Диссертация является частью комплексных исследований, проводимых в ОАО «НИИПМ» в рамках научно-исследовательских и опытно-конструкторских работ: «Кальций» «Исследование применяемости контроля ряда технологических параметров в установках плазмохимического травления» (Гос. per. № 46 911), «Континент 212−1» «Создание экспериментальных образцов реакторных модулей для индивидуального плазмохимического, реактивно-ионного, ионного травления плёнок и удаления фоторезиста"(Гос. per. №У1 062), «Корпус» «Исследование и разработка технологического процесса для анизотропного глубинного травления монокремния» (Гос. per. № 46 911), «Комплекс 212» «Разработка средств контроля и систем управления основными технологическими параметрами в реакторах ПХТ» (Гос. per. №.

У33 277), «Плазма- 150А» «Разработка и изготовление установки удаления фоторезиста для технологии СБИС субмикронного уровня», проводимой в рамках совместной российско-белорусской научно-технической программы «Создание и серийное производство автоматизированных систем управления бортовой радиолокационной аппаратуры, изделий бытовой радиои электротехники», подпрограмма «Разработка и освоение серий цифровых, цифро-аналоговых и аналоговых интегральных микросхем для аппаратуры специального назначения и двойного применения», раздел «Технология для создания интегральной элементной базы», а также в рамках договоров с НПО «Интеграл» (г. Минск) и ОАО «НИИМЭ и Микрон» (р, Москва, г. Зеленоград).

Цель работы — разработка и оптимизация новых технологических процессов и реакторов с использованием плазмы для удаления фоторезиста, сухого проявления фоторезиста и травления глубоких канавок в кремнии, пригодных для технологии формирования элементов СБИС и обеспечивающих минимальные радиационные повреждения при высокой скорости, анизотропности и селективности травления, оснащение ими технологических установок для массового производства ИС.

В соответствии с целью в работе были поставлены следующие задачи:

1. Исследовать кинетику плазменного удаления фоторезиста вне зоны локализации разряда и разработать реактор для обработки пластин в послесвечении плазмы высокочастотного разряда;

2. Исследовать влияние технологических и конструктивных параметров системы с разделением зон активации газа и реакции на устойчивость пространственной локализации плазмы и потенциал в зоне обработки;

3. Определить возможные причины пробоев тонкого (< 13 нм) оксида кремния при удалении фоторезиста вне зоны локализации разряда;

4. Разработать и оптимизировать технологические процессы: удаления фоторезистивных плёнок без радиационных повреждений обрабатываемой поверхности, удаления полимерных плёнок после плазмохимического травления и изотропного травления диэлектрика;

5. Исследовать особенности высокочастотного возбуждения и поддержания высокоплотной низкотемпературной плазмы при пониженном давлении, разработать реактор для ионно-стимулированного травления;

6. Исследовать технологические особенности анизотропного травления кремния и фоторезиста, разработать технологические процессы травления глубоких канавок в кремнии и сухого проявления фоторезиста;

7. Разработать математическую модель формирования профиля травления канавок в кремнии при ионно-стимулированном травлении;

Научная новизна.

1. Предложен способ, изучена кинетика процесса и оптимизирована технология высокоэффективного удаления фоторезиста вне зоны локализации разряда.

2. Предложена методика оценки уровня радиационных повреждений обрабатываемой поверхности при плазмохимическом удалении (ПХУ) фоторезиста вне зоны локализации разряда и установлена корреляция частоты пробоев тонкого (< 13 нм) оксида кремния с потенциалом плазмы в зоне обработки.

3. Разработана методика измерения контактным методом потенциалов, возникающих на образцах в процессе удаления фоторезиста с их поверхности.

4. Разработана модель формирования профиля травления канавок в кремнии при ионно-стимулированном травлении.

5. Разработан технологический процесс высокоскоростного высокоанизотропного травления глубоких канавок в кремнии без использования полимеробразующих газов, удовлетворяющий требованиям производства ИС высокой степени интеграции (4М и выше).

6. Показано, что при формировании структур с размерами порядка 0,5 мкм при использовании метода локального силилирования экспонированных пленок фоторезиста сухое проявление фоторезиста необходимо проводить в реакторе с высокоэффективным возбуждением плазмы при давлении 3−10'2 Па и смещении на подложкодержателе -60 -г -100 В.

7. Установлено, что тлеющий разряд, возбуждаемый в индукторе на частоте 13,56 МГц в диапазоне давления 5−10″ 2 ч- 130 Па, может существовать в форме безэлектродного кольцевого разряда («Н" — разряда) при выполнении условия: где: U — напряжение на индукторе, Вп — количество витков индуктораD — внутренний диаметр индуктора, см.

Практическая значимость работы.

В результате проведённых исследований разработаны следующие технологические процессы и реакторы для индивидуального плазмохимического травления:

1. Технологический процесс и реактор для скоростного удаления фоторезиста в потоке активированного в плазме кислорода, установленный на установках «Плазма НД 125Ф», «Плазма НД 125ПМ»;

2. Технологический процесс и реактор для удаления фоторезиста в потоке активированной смеси кислорода с аргоном без пробоя тонкого (<13 нм) оксида кремния, установленный на установки «Плазма 150М» и «Плазма НД 150 МФ»;

3. Реактор высоко плотной плазмы, установленный на автоматической установке «Плазма 150А», позволяющий реализовать следующие технологические процессы: скоростного равномерного удаления фоторезиста с пластин диаметром 150 мм без радиационных повреждений обрабатываемой поверхности, удаления полимерных остатков после плазмохимического травления, изотропного травления контактных окон в диэлектрике;

4. Реактор и технологические процессы травления канавок в кремнии и сухого проявления фоторезиста.

5. Представленные в работе программы для расчета профиля травления канавок в кремнии могут быть использованы при разработке технологических процессов ионно-стимулированного травления материалов. Внедрение результатов работы.

Результаты работы использованы при разработке и изготовлении установок ОАО «НИИПМ» г. Воронеж: «Плазма НД 125Ф», «Плазма 150М», «Плазма НД 125ПМ», «Плазма НД 150МФ», «Плазма 150А», внедрённых на предприятиях, осуществляющих серийное производство ИС: НИИИЭТ (г. Воронеж), ЗАО «ВЗПП — Микрон» (г. Воронеж), НПО «Интеграл» (г. Минск), ОАО «НИИМЭ и «Микрон» (г. Москва, Зеленоград).

Основные результаты и положения, выносимые на защиту.

1. Тлеющий разряд, возбуждаемый в индукторе на частоте 13,56 МГц в диапазоне давления 5−10' -г- 130 Па, может существовать в форме безэлектродного кольцевого разряда при выполнении условия: U/nTtD > 7,5, где: U — напряжение на индукторе, Вп — количество витков индуктораD — внутренний диаметр индуктора, см.

2. При формировании структур с размерами порядка 0,5 мкм с использованием метода локального силилирования экспонированных на длине волны 336 нм пленок фоторезиста сухое проявление необходимо проводить в реакторе с У высокоэффективным возбуждением плазмы при давлении порядка.

3 -10 «Па и напряжении низкочастотного смещения на подложкодержателе -60 -г -100 В.

3. Модель формирования профиля травления канавок в кремнии, учитывающая влияние ионной бомбардировки поверхности, результаты расчетов.

4. Способы удаления фоторезиста с тонкого оксида кремния (толщиной 13 нм) без электрических пробоев и внесения встроенного заряда в полупроводниковые структуры, при реализации которых технологический процесс осуществляется вне зоны локализации разряда с контролем потенциала пространства в зоне обработки.

5. Новая конструкция реактора высокоплотной плазмы, работающего на частоте 13,56 МГц, позволяющий реализовать следующие технологические процессы обработки пластин диаметром 150 мм:

— удаление фоторезиста без радиационных повреждений обрабатываемой поверхности со скоростью до 4 мкм/мин и неравномерностью менее ± 5%- -удаление полимерных остатков после плазмохимического травления с селективностью более 1000:1 по отношению к нижележащим слоям поликремния, Si3N4, Si02;

— изотропного травления контактных окон в БФСС со скоростью более 0,3 мкм/мин.

Апробация работы.

Основные результаты диссертационной работы доложены и обсуждены на: VI конференции молодых учёных и специалистов «Разработка и изготовление твердотельных изделий электронной техники» (Москва, 1985 г.) — второй Всероссийской конференции с международным участием «Актуальные проблемы твердотельной электроники и микроэлектроники» (Таганрог, 1995 г.) — Юбилейной 2-ой научно-технической конференции АООТ «НИИМЭ и «Микрон» «Разработка, технология и производство полупроводниковых микросхем» (г. Москва, Зеленоград. 1999 г.) — Осенней сессии Межотраслевой 5-ой научно-технической конференции АООТ «НИИМЭ и «Микрон» «Разработка, технология и производство полупроводниковых микросхем» (г. Гурзуф, 2002 г.) — Межотраслевой 6-ой научно-технической конференции АООТ «НИИМЭ и «Микрон» «Разработка, технология и производство полупроводниковых микросхем» (г. Москва, Зеленоград, 2003 г.) — Региональной научно-технической конференции «Системы и элементы роботизированных комплексов» (г. Воронеж, 2003 г).

Публикации.

По теме диссертации опубликовано 26 работ.

Личный вклад автора. Автором самостоятельно получены, обработаны и проанализированы все основные результаты, выносимые на защиту.

Структура и объем диссертации

Диссертация состоит из введения, четырёх глав и приложения. Общий объём работы 208 страниц, в том числе 85 рисунков, 8 таблиц и список литературы из 135 наименований.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ И ВЫВОДЫ.

1. Впервые проведены комплексные исследования плазмохимического удаления фоторезиста вне зоны локализации разряда. Предложена методика оценки уровня радиационных повреждений обрабатываемой поверхности (пробоев тонкого оксида кремния) с помощью измерения потенциала вне зоны локализации разряда. Установлена корреляция частоты пробоев тонкого оксида кремния с величиной потенциала плазмы в зоне обработки. Показано, что при потенциале в зоне обработки менее 5 В реализуется технологический процесс удаления фоторезиста с тонкого оксида кремния (толщиной 13 нм) без электрических пробоев и внесения встроенного заряда в полупроводниковые структуры.

2. Разработана методика измерения контактным методом потенциалов, возникающих на структурах в процессе удаления фоторезиста с их поверхности. Показано, что кроме токовых повреждений возможен и другой механизм повреждений тонкого оксида кремния, связанный, например, с воздействием УФ излучения на границу раздела Si — Si02.

3. Установлено, что тлеющий разряд, возбуждаемый в индукторе на частоте 13,56 МГц в диапазоне давлений от 5−10″ 2 Па до 130 Па, может существовать в форме высокоэффективного безэлектродного кольцевого разряда («Н» — разряда) при выполнении условия: где: U — напряжение на индукторе, Вп — количество витков индуктораD — внутренний диаметр индуктора, см. Показано, что при переходе в режим «Н" — разряда, происходит резкое возрастание плотностей заряженных частиц (более чем в 10 раз) даже при небольшой мощности, вкладываемой в разряд.

4. Разработан технологический процесс и реактор для скоростного удаления фоторезиста в потоке активированного кислорода с аргоном без пробоев тонкого (12нм) оксида кремния. Реактор установлен на автоматические установки «Плазма 150 М» и «Плазма НД 150 МФ».

5. Разработан первый отечественный реактор высокоплотной плазмы, работающий на частоте 13,56 МГц в диапазоне давлений 40 -т- 100 Па, позволяющий реализовать следующие технологические процессы обработки пластин диаметром 150 мм:

— удаление фоторезиста без радиационных повреждений обрабатываемой поверхности со скоростью до 4 мкм/мин и неравномерностью менее ± 5%- -удаление полимерных остатков после плазмохимического травления с селективностью более 1000:1 по отношению к нижележащим слоям поликремния, Si3N4, SiC>2;

— изотропного травления контактных окон в БФСС со скоростью более 0,3 мкм/мин.

Таким реактором оснащена установка «Плазма 150А», разработанная в ОАО «НИИПМ» в 2002 г.

6. Предложена модель формирования профиля травления канавок в кремнии при ионно-стимулированном травлении. Теоретически и экспериментально показано, что анизотропия травления канавок в кремнии без использования полимеробразующих газов, определяется соотношением скоростей ионно-стимулированного и радикального травления.

7. Разработан реактор ионно-стимулированного травления с высокоэффективным возбуждением плазмы при пониженном давлении и независимым регулированием смещения на подложкодержателе. Разработан технологический процесс травления канавок в кремнии на глубину более 10 мкм без использования полимеробразующих газов со скоростью более 1,5 мкм/мин и анизотропией более 60.

8. Показано, что при формировании структур с субмикронными размерами при использовании метода локального силилирования экспонированных пленок фоторезиста, сухое проявление фоторезиста необходимо проводить в реакторе ионно-стимулированного травления при давлении порядка 3-Ю'2 Па и низкочастотном смещении на подложкодержателе -60 -100 В.

Результаты проведенных исследований могут быть использованы при разработке новых конструкций реакторов и технологических процессов формирования микроструктур в производстве СБИС. Новизна конструкторских и технологических решений, использованных при разработке технологических процессов ПХТ и реакторов для их реализации, подтверждена 8 авторскими свидетельствами на способы и устройства плазмохимической обработки.

Показать весь текст

Список литературы

  1. Плазменная технология в производстве СБИС / Пер. с англ.- Под ред. Н. Айнспрука, Д. Брауна. — М.: Мир, 1987. — 69 с.
  2. Г. Ф. Ионно-плазменная обработка материалов / Г. Ф. Ивановский, В. И. Петров. М.: Радио и связь, 1986. — 232 с.
  3. .С. Применение низкотемпературной плазмы для травления и очистки материалов / Б. С. Данилин, В. Ю Киреев. М.: Энергоатомиздат, 1987.- 264 с.
  4. У. Микролитография / У. Моро: В 2-х ч. 4.2: Пер. с англ. М.: Мир, 1990.-632 с.
  5. Ю. И. Плазмохимическое травление в технологии ИС / Ю. И. Дикарев, В. Ф. Сыноров, Б. JI. Толстых // Зарубежная электронная техника. -1978.-Вып. 2.-49 с.
  6. С. Н. Физико-химические особенности процессов плазмохимического травления / С. Н. Рябов, С. А. Кутолин, Н. И. Бойкин // Обзоры по электронной технике, сер. 7. М.: ЦНИИ «Электроника». 1981. — Вып. 20 (244). — 66 с.
  7. Д. И. Механизмы химических реакций в неравновесной плазме / Д. И. Словецкий // «Химия плазмы», вып. 10. М.: Энергоатомиздат, 1983.
  8. Н. К. Травление в плазме СВЧ и ВЧ газовых разрядов / Н. К. Беляева, А. И. Маштакова // Обзоры по электронной технике, Сер. 1. М.: ЦНИИ «Электроника», 1981. Вып. 12 (830). — 50 с.
  9. Г. Ф. Использование сухих процессов в микроэлектронике / Г. Ф. Ивановский // Электронная промышленность. 1980. — № 3.- С. 26.
  10. Ю. Д. Физико-химические основы технологии микроэлектроники / Ю. Д. Чистяков, Ю. П. Райнова М.: Металлургия, 1979. — 408 с.
  11. Bersin R. L. A survey of plasma-etching processes / R. L. Bersin // Solid State Technology. 1976.- Vol. 19, № 5. — P. 31−36.
  12. Bondur J. A. CF4 etching in a diode System / J. A. Bondur // J. Electrochem. Soc. 1979. — Vol. 126, № 2. — P. 226−231.
  13. D’Agostino R. Plasma etching of Si and Si02 in SF6 02 mixtures / R. D’Agostino, L. D. Flamm //J. Appl. Phys. — 1981.- Vol. 52, № 1. — P. 162−167.
  14. Eisele К. M. SF6 a preferable etchant for plasma etching silicon / К. M. Eisele // J. Electrochem. Soc. 1981.-Vol. 128, № l.-P. 123−125.
  15. Vande Ven E. P. A cristal comparison of SiF4/02 and CF4/02 as plasma etching gases / E. P. Vande Ven, P. A Zijlstra // J. Electrochem. Soc. 1981. — Vol. 128, № 1. -P. 112−119.
  16. Boud H. Applications for Silicon tetrafluoride in plasma etching / H. Boud, M. S. Tang // Solid State Technology. 1979. — Vol. 22, № 4. — P. 133−138.
  17. Eisele К. M. Plasma etching of Silicon nitrogen trifluoride / К. M. Eisele // J. Electrochem. Soc. 1980. — Vol. 127, № 1. — P. 174.
  18. Janno N. J. Comparison of the etching and plasma characteristics of discharges in CF4 and NF3 / N .J. Janno, К. E. Greeberg, J. Vendeyen // J. Electrochem. Soc. -1981.-Vol. 128, № 10.-P. 2174−2179.
  19. Chen M. Etching silicon witch fluoride gas / M. Chen, V. J. Minkiewicz, K. See //J. Electrochem. Soc. 1979. — Vol. 126, № 11. — P. 1946−1947.
  20. Mogab C. J. Anisotropic plasma etching of poly-Si / C. J. Mogab, H .J. Levenstein//J. Vac. Sci. Technol. 1980. — Vol. 17, № 3. — P. 721−730.
  21. Flamm D. L. XeF2 and F-atom reactions with Si their significance for plasma etching / D. L. Flamm // Solid State Technology. 1983. — Vol. 26, № 4. — P. 117 121.
  22. Flamm D. L. Basic chemistry and mechanisms of plasma etching / D. L. Flamm // J. Vac. Sci. Technol. B. 1983. — Vol. 1, № 1. — P. 23−30.
  23. Trench’s technology // Electronics. 1987. — № 14. — P. 12−16.
  24. Zarowin С. B. Plasma etch anisotropy-theoiy and some verifying experiments relating ion transport, ion energy and etch profiles / С. B. Zarowin // J. Electrochem. Soc. 1983.-Vol. 130, № 5.-P. 1144−1152.
  25. . С. Реактивное ионное травление / Б. С. Данилин, В. Ю. Киреев, Д. А. Назаров // Обзоры по Э. Т. Сер. З, Микроэлектроника. 1984. — Вып.1 (1010).-С. 71.
  26. Nagg A. G. Sidewall tapering in reactive ion etching / A. G. Nagg // J. Electrochem. Soc. 1985. — Vol. 132, № 3. — P. 689−693.
  27. Suzuki К. Microwave plasma etching / К. Suzuki // Proc. Int’l Engineering Congress ISIAT'83 and IPAT'83. Kyoto, 1983. — P. 1645−1656.
  28. Vinogradov G. K. Kinetics and mechanisms of chemical reaction in none quilibiumplasma etching of Silicon and Silicon compounds G. K. Vinogradov, P. I. Nevzorov, L. S. Polak, D. I. Slovetsky // Vacuum. 1982. — № 9. — P. 529−533.
  29. Г. И. Влияние ионного облучения на кинетику ПХТ Si и Si02 / Г. И. Бернотас, С. Б. Бружас, А. И. Григонис // Литовский физический сборник. -1982.-№ 5.-С. 107−113.
  30. Т. Е. Plasma etching in a multipolar discharge / Т. E. Wicker, Т. D. Monttei // J. Appl. Phys. 1985. — Vol. 57, № 5.. p. 1638- 1647.
  31. Patent 4 529 475 USA, MKI H 01 L 21/306. Dry etching apparatus and method using reactive gases / H. Okano / РЖ ИСМ. 1990. — Вып. 129, № 22.
  32. Patent 4 450 042 USA, MKI H 01 L 21/306. Plasma etch chemistry for anisotropic etching of silicon/A. J. Purdes//РЖ ИСМ. 1990. — Вып. 129, № 13.
  33. Patent 4 417 947 USA, MKI H 01 L 21/308. Edge profile control during patterning of silicon by dry etching with CC14 02 mixtures / A. I. Pan / РЖ ИСМ. — 1990. -Вып. 129, № 3.
  34. Заявка 101 828 ЕПВ, MKI H 01 L 21/306. Plasma etch chemistry for anisotropic etching of silicon / Texas Instruments Inc. // РЖ ИСМ. 1995. — Вып. 104, № 10.
  35. РИТ/ПТ, серия 1500е. Проспект фирмы Tegal. 1986.
  36. Plasma RIE Systems // Solid State Technology. 1986. — Vol. 29, № 5. — P. 83−84.
  37. RIE System Tegal 1500 // D. D. 1986, № 3 — P. 110−113.
  38. Flamm D. L. Etching and film formation in CF3Br plasmas: Some qualitative obcervations and their general implications / D. L. Flamm, P. L. Cowan, J. A. Golovchenko // J. Vac. Sci. Technol. 1980. — Vol. 17, № 6. — P. 23−30.
  39. Matsuo S.J. Selective etching of Si relative to SiC>2 without undercutting by CBrF3 plasma / S. J Matsuo // Appl. Phys. Lett. 1980. — Vol. 36, № 9. — P. 768−770.
  40. M. К. Травление кремния в плазме CF3Br / М. К. Абачев, С. А. Антонов, В. С. Асович // Тр. 1-й Всесоюзной конф. по физическим и физико-химическим основам микроэлектроники. — М.: Изд. НЦТН. 1987. — С. 352.
  41. А. А. Проблемы плазмохимического травления в технологии микроэлектроники / А. А. Орликовский, Д. И. Словецкий // Микроэлектроника. 1987.-Т. 16, Вып. 6.-С. 507.
  42. Kangelow I. W. Secondary effects of single cristallill Silicon deep trench etching in chlorine containting plasma for 3- dimensional capasitor cells /I. W. Kangelow, R. Throren, K. Mabeliung // Microelectronic Engineering. 1986, № 5. — P. 387−394.
  43. Patent 4 139 442 USA, MKI C23 С15/00. Reactive ion etching method for producing deep dielectric isolation in silicon / J. A. Bondur, H. B. Pogge // NKI 177/1.- 1985.-№ 3.
  44. Tricon, http: // www.tricon.com.
  45. McTaggart B. Plasma Chemistry and Electrical Discharges / B. McTaggart, R. Benson. Elsevier, Amsterdam. — 1967.-67 c.
  46. Cook J. Application of EPR spectroscopy to oxidative removal of organic materials // J Cook, В Benson // J. Electrochem. Soc. 1983. — Vol. 130. № 10. — P. 2459−2464.
  47. Sala R. J. Dry etching of tapered contact holes using multiplayer resist / R. J. Sala, B. Gorowitz // J.Electrochem. Soc. 1985. Vol. 132, № 8. — P. 1954−1958.
  48. Bell A. Plasma etching of photoresist and polyimide in air and oxygen /А. Bell, K. Kwong //AICHE J. 1972. — Vol. 18. — P. 990.
  49. Mollahan J. Techniques and Applications of plasma Chemistry / J. Mollahan, A. Bell // Willey. New York. 1974. — P. 73−74.
  50. Lawton E. Spectroscopic study of radiofrequency oxygen plasma stripping of negative photoresist / E. Lawton // J. Appl. Polym. Sci. 1972. — Vol. 16. — P. 1857.
  51. Ranby B. Photo-Degradation, Photo-Oxidation and Photostabilisation of Polymers / B. Ranby, J. Rabek // Wiley. New York. 1975. — P. 19.
  52. Hansen R. Plasma etching of polymers / R Hansen, J. Pasesle, T. Debenedictis, R. Rentzepis //J. Polym. Sci. 1965. — Part A 13. — P. 2205.
  53. Cullis C. The Combusition of Organic Polymers / C. Cullis, M. Hivschler // Oxford University Press (Clarendon). London. 1981. — P. 54.
  54. Szekeres A. Plasma photoresist stripping in a planar reactor / A. Szekeres, K. Kirov, S. Alexandrova// Phys. Status Solidi. 1981. — A 63. — P. 371−379.
  55. Spenser J. E. High rate photoresist stripping in an oxygen afterglow / J. E. Spenser, R. A. Borel, A. Hoff// J. Electrochem. Soc. 1986. — Vol. 133, № 9. — P. 1922−1925.
  56. Hannon J. J. Oxidative removal of photoresist by oxygen / freon 116 discharge products / J. J. Hannon, J. M. Cook // J. Electrochem. Soc. 1984. — Vol. 131, № 5. -P. 1164−1169.
  57. Goldstein I.S. Oxygen plasma etching of thick polymer lauers /1. S. Goldstein, F. Kalk//J. Vac. Sci. Technol. 1981. — Vol. 19, № 3. — P. 743−747.
  58. Bond R. A. Temperature measurements of glass substrates during plasma etching /R. A. Bond, S. Dzioba, H. M. Naguib // J. Vac. Sci. Technol. 1981. — Vol. 18, № 2. -P. 335−342.
  59. Pederson L. Structural composition of polymers relative to their plasma etch characteristics / L. Pederson //J. Electrochem. Soc. 1982. — Vol. 129, № 1. — P. 205 209.
  60. Flamm D. L. Basic chemistry and mechanisms of plasma etching / D. L. Flamm, V. M. Donelly, D. E. Ibbotson // J. Vac. Sci. Technol. B. 1983. — Vol. 1, № 1. -P. 29−30.
  61. Mogab C. J. Plasma photoresist stripping in CF4 02 / C. J. Mogab, A. S. Adams, D .L. Flamm // J. Appl. Phys. — 1981. — Vol. 49. — P. 3803.
  62. Kuschner M. A kinetic study of the plasma etching process. 1. A model for the etching of Si and Si02 in CnFm / H2 and CnFm / 02 plasmas / M. Kuschner // J. Apll. Phys. — 1982. — Vol. 53, № 4. — P. 2923−2938.
  63. Turban G. Dry etching of polyimide in 02 CF4 and 02 — SF6 plasmas / G. Turban, M. Rapeux // J. Electrochem Soc. — 1983. — Vol. 130, № 11. — P. 2231−2237.
  64. Hartney M. A. Oxygen plasma etching for resist stripping and multiplayer / M. A. Hartney, D. W. Hess, D. S. Soane // J. Vac. Sci. Technol. B. 1989. — Vol. 7, № 1. -P. 1−13.
  65. Eggito F. D. Plasma etching of organic materials. 1. Polyimide in 02 CF4 / F. D. Eggito, F. Emmi, R. S. Horwath, V. Vucanovic // J. Vac. Sci. Technol. B. — 1985. -Vol. 3.-P. 893−904.
  66. Vucanovic V. G. Summary Abstract: Polyimide etching and passivation downstream of an 02 CF4 — Ar microwale plasma / V. G Vucanovic, A. Tacars, E. A. Matuszak, F. D. Eggito, F. Emmi, R. S. Horwath // J. Vac. Sci. Technol. A. -1986.-Vol. 4.-P. 698−699.
  67. Heidenreich J. E. Ion energy and anisotropy in microwave plasma etching of polymers / J. E. Heidenreich, J. R. Paraszczak, M. Moisan, G. Sauve // Microelectron. Eng. 1986. — Vol. 5, Dec. — P. 363−374.
  68. Steinbruchel C. Desing criteria for uniform reaction rates in an oxygen plasma / C. Steinbruchel, B. J. Curtis, H. W. Lehman, R. Widner // IEEE Trans. Plasma Sci. -1986.- 14.-P. 137.
  69. Gokan H. Dry etch resistance of organic materials / H. Gokan, S. Esho, Y. Ohnischi //J. Electrochem. Soc. 1983. — Vol. 130, № 1. — P. 143−147.
  70. Flamm D. L. Dry Plasma Resist Stripping. Part I: Overview of Equipment/ D. L. Flamm // Solid State Technology. 1992. — Vol. 35, № 8. — P 37−39.
  71. А.с. 1 207 339 СССР, МКИ3 4Н 01 L 21/302. Устройство для плазмохимического удаления фоторезиста с полупроводниковых пластин / И. В. Гомжин, Н. К. Юдина, Н. Н. Федоров, Э. А. Лебедев, А. Ф. Селиванов (СССР). -№ 3 695 002/24−25- Заявлено 13.01.84.
  72. А.с. 1 642 901 СССР, МКИ3 5Н 01 L 21/302, Н 05 Н 1/30. Устройство для плазмохимического удаления фоторезиста с полупроводниковых пластин / И. В. Гомжин, Э. А. Лебедев, М. С. Черноусов, А. М. Будянский (СССР). № 4 746 104/25- Заявлено 03.10.89.
  73. Мак-Коган Д. В. Деградация окисных пленок за счет облучения плазмой при катодном распылении и ионном травлении / Д.В. Мак-Коган, Р. А. Кушнир // ТИЭР. 1974. — № 9. — С. 63−69.
  74. Н. Н. Плазмохимическая обработка поверхности пластин в производстве полупроводниковых приборов и ИС / Н. Н. Федоров, В. Р. Овчаров // Зарубежная электронная техника. 1974. — № 14(86). — 47 с.
  75. А. В. Влияние плазменной обработки на заряд в структурах Si- Si02 / А. В. Мурель, И. В. Шишова // Взаимодействие атомных частиц с твердым телом. 4.2. Киев, 1974. — С. 43−45.
  76. Р.П. Исследование поверхностного слоя кремния после травления в высокочастотной плазме. / Р. П. Берзиня, Э. Э. Киотыньш, В. Р. Несауле и др. // Известия А. Н. Латв. ССР, сер. физ. и техн. наук. 1973. — № 3. — С. 25−28.
  77. Г. П. Влияние плазмохимической обработки на поверхностные состояния системы / Г. П. Бугакова, Н. Н. Федоров // Электронная техника. -1977. сер. 7. Вып. 6 (84). С. 9−14.
  78. А. В. Электронные процессы на поверхности полупроводников / А. В. Ржанов. М, Наука, 1971. — 351 с.
  79. V. Т. Low energy bombardment effects in Si02 / V. T Murphy. // IEEE Trans. Nucl. Sci. 1972. — № 12. — P .249−255.
  80. Melaughan D. V. Low energy bombardment of Silicon dioxide films on Silicon /
  81. D. V. Melaughan, V. Т. Murphy // J. Appl. Phys. 1973. — Vol. 44, № 5. — P. 20 082 017.
  82. Kuschner R. A. Mobilization of sodium in SiC>2 films by ion bombardment / R. A. Kuschner, D. V. Melougan // Phys. Rev (B). 1974. Vol. 10, № 6. — P. 2632.
  83. Daniel V. Effect of bombardment by low energy neutral particles on silicon dioxide / V. Daniel, McCaughan, R. A. Kuschner, D. L. Simms, C. W. White // J. Appl. Phys. 1980. — Vol. 51, № 1. — P. 299−304.
  84. Rowell R. I. Vacuum ultraviolet radiation effects in SiC>2 / R. I Rowell, R. N. Derbenwick // IEEE Trans. Nucl. Sci. 1971. — № 10. — P. 153.
  85. Hittorf W. Uber die elektristats leitung der gase / W. Hittorf // Ann. Physik. Chem. 1884. — Bd.21, HI. — S. 90−139.
  86. Lermann O. Uber das entladungs — potentialgefalle / O. Lermann // Ann. Physik. Chem. 1892. — Bd. 47, HI 1. — S. 426−439.
  87. Thomson D. D. Radiation produced by the passage of electricity through gases / D. D. Thomson // Philos. Mag. 1926. — Vol. 2, № 9. — P. 674.
  88. Thomson D. D, The electrode less discharge though gases / D. D. Thomson // Philos. Mag. 1927. — Vol. 4, № 25. — P. 1128−1160.
  89. Townsend D. S. Electrode less discharges / D. S. Townsend, R. H. Donaldson // Philos. Mag. 1928. — Vol. 5, № 27. — P. 178−191.
  90. Mac. Kinnon K. A. On the origin of the elecrode less discharges / K. A. Mac. Kinnon // Philos. Mag. 1929. — Vol. 8, № 52. — P. 605−617.
  91. Brasefield C. High frequency discharges in mercury, helium and neon / C. Brasefieldn // Phys. Rev. 1931. — Vol. 31, № 1. — P. 32−86.
  92. Mirdel G. Untersuchungen uber den electrodenloson ringstrom / G. Mirdel // Ann. Phys. 1928. — Bd. 85, H5. — S. 612−640.
  93. Smith H Electrodeless discharge in mercury vapor / H. Smith, W. A. Lynch, N. Hilbery // Phys. Rev. -1931. Vol. 37, № 5. — P. 1091−1101.
  94. Straub H. Untersuchungen uber den existenzbereich der electroden losen ringentladung / H. Straub // Ann. Phys. 1958. — Bd. 1, H 4−5. — S. 281.
  95. Cabannes F. Etude de la discharge electrique par induction dans les gar rares / F. Cabannes // Ann. Phys. 1955. — Vol. 10, H 4−5. — P. 1026−1078.
  96. В. И. Горючесть и огнестойкость полимерных материалов./ В. И. Ко долов. М.: Химия, 1976. — 158 с.
  97. И. В. Исследование особенностей удаления фоторезиста в потоке активированного кислорода / И. В. Гомжин, Э. А. Лебедев. // Электронная техника. 1985. сер.З. Вып. 1 (121). — С. 28−29.
  98. А.с. 1 362 358 СССР, МКИ3 4 Н 01 L 21/302. Устройство для плазмохимического удаления фоторезиста / И. В. Гомжин, Р. В. Пуховицкий (СССР). № 3 983 541/24−25- Заявлено 03.12.85.
  99. И. В. Плазменное удаление фоторезиста в потоке активированного газа / И. В. Гомжин, Э. А. Лебедев, Н. Н. Федоров // Материалы 9 Школы по плазмохимии для молодых ученых России и стран СНГ. Иваново. ИГХТУ. -1999.-С. 176−177.
  100. Пат. 1 653 484 РФ, МКИ3 6 Н 01 L 21/306. Способ плазмохимического удаления пленок фоторезиста / И. В. Гомжин, А. М. Будянский, А. Г. Покроев, А. Н. Ефремов, Э. А. Лебедев (РФ) № 4 750 949/25- Заявлено 23.10.89- Опубл. 20.08.95, Бюл. № 23.
  101. Tzunokuni К. The Effect of Charge Build-up on Gate Oxide Breakdown During Dry Etching / K. Tzunokuni, K. NoJiri, S. Kuboschima, K. Hirobe // Extendet Abstracts of the 19 th Conference on Solid State Devices and Materials. Tokyo. -1987. P. 195−198.
  102. Hook Т. B. Plasma and Process Damage: Results From P2ID 2002 / Т. B. Hook // Semiconductor International. 2002. — Vol. 25, № 8. — P. 34−38.
  103. А. с 1 820 787, МКИ3 5 H 01 L 21/306. Способ плазмохимического удаления фоторезиста с полупроводниковой подложки / И. В. Гомжин, Э. А. Лебедев, М. С. Черноусов (СССР). № 4 820 884/25- Заявлено 03.05.90.
  104. И. В. Процессы плазменного удаления фоторезиста / И. В. Гомжин // Электронная промышленность. 2004, № 1. — С. 43−48.
  105. Методы исследования плазмы / Под ред. В. Лохте Хольтгревена. — М: Мир, 1971.-352 с.
  106. Заявка на изобретение № 2 003 122 591. Устройство для плазмохимической обработки полупроводниковых пластин / И. В. Гомжин, Э. А. Лебедев, Д. А. Ефремов. Приоритет 30.07. 2003 г.
  107. И. В. Плазменное удаление фоторезиста в ICP реакторе / И. В. Гомжин, Э. А. Лебедев, Н. Н. Федоров // Электронная промышленность. 2004 .-№ 1.-С. 38−41.
  108. И. В. Автоматическая установка удаления фоторезиста «Плазма 150А» / И. В. Гомжин, Б. Я. Гликсон, О. П. Гущин, Д. А. Ефремов, Э. А.
  109. Лебедев, Н, Н. Федоров // Электроника: Наука, Технология, Бизнес. 2003. № 6. -С. 40−41.
  110. Weigang J.F. Cleaning silicon wafers with an argon / nitrogen cryogenic aerosol process /J. F. Weigang, N. Narayancwami, D. J. Syverson // Micro. 1997. — Vol. 15, № 4. — P. 47−54.
  111. Friesacher W. Polymer removal for the BEOL market / W. Friesacher, J. R. Kraaijevel, S. A. Henry, L. Archer// European Semiconductor. 2003. — Vol. 25, № 3.-P. 19−21.
  112. Burggraaf P. Favorable results without wet chemicals in dry FEOL resist strip-clean / P. Burggraaf// Solid State Technology. 2003. — Vol. 46, № 1. — P. 28.
  113. Singer P. The Many Challenges of Oxide Etching / P. Singer // Semiconductor International. 1997. — Vol. 20, № 6. — P. 109−114.
  114. Hall. Moscow. Russia. September 30 October 1, 2003. Abstracts of technical symposium papers P. 61.
  115. Microwave plasma etch system. Solid State Technology. 1988. — Vol. 31, № 2. — P. 47−48.
  116. A. c. 1 760 946 СССР, МКИ3 5 H 05 H 1/00, H 01 L 21/302. Способ плазмохимического травления полупроводниковые пластин / И. В. Гомжин, Э. А. Лебедев, М. С. Черноусое (СССР). № 4 722 991/25- Заявлено 24.07.89.
  117. И. В. Анизотропное плазмохимическое травление монокремния / И. В. Гомжин, Э. А. Лебедев, Н. Н. Федоров, О. П. Гущин // Материалы 9 Школы по плазмохимии для молодых ученых России и стран СНГ. Иваново. ИГХТУ. — 1999. — С. 178−179.
  118. И. И. Травление в галогенсодержащей плазме глубоких канавок в кремнии при повышенном давлении / И. И. Амиров, В. В. Абрамов, А. В. Вихорев, А. А. Орликовский, А. А. Селуков, В. К. Смирнов // Микроэлектроника. 1993. — Т. 22, Вып. 4. — С. 29−39.
  119. И. В. Анизотропное травление монокремния в реакторе высокоплотной плазмы / И. В. Гомжин, Э. А. Лебедев, В. А. Логачева, И. С. Суровцев, Н. Н. Федоров // Конденсированные среды и межфазные границы. -2003. Т. 5, № 3. С. 293−296.
  120. А. с. 1 507 131 СССР, МКИ3 4 Н 01 L 21/306.Способ индивидуального вакуумно-плазменного травления кремниевых пластин /И. В. Гомжин, Э. А. Лебедев, М. С. Черноусов (СССР). № 4 363 271- Заявлено 01.12.87.
  121. Физика и химия обработки материалов. М. Наука. — 1977. — № 4. — С. 8.
  122. В. А. Расчет профиля плазмохимического травления / В. А. Бачманов, Ю. С. Боков, М. Б. Гущин // Электронная техника, сер. Микроэлектроника. 1979. — Вып. 1 (79). — С. 58−63.
  123. Coopmans F. DESIRE A New Route to Submicron Optical Lithography / F. Coopmans, B. Roland // Solid State Technology. — 1987. — Vol. 30, № 6. — P. 93−99.
  124. Garza C. Preliminary performance characterization of the DESIRE process / C. Garza // SPIE. 1988. — Vol. 920. — P. 233−240.
  125. И. В. Плазмохимическое травление фоторезистивных пленок / И. В. Гомжин, Э. А. Лебедев, Н. Н. Федоров, О. П. Гущин // Материалы 9 Школы по плазмохимии для молодых ученых России и стран СНГ. Иваново. ИГХТУ.- 1999. — С. 175.
  126. И. В. Сухое проявление фоторезистивных пленок / И. В. Гомжин, Э. А. Лебедев, В. А. Логачева, И. С. Суровцев, Н. Н. Федоров // Конденсированные среды и межфазные границы. 2004. — Т. 6, № 1. — С. 50−53.
Заполнить форму текущей работой